BCD对七段显示器译码器
姓名:
学号:
专业:自动化
年级:2008
BCD对七段显示器译码器
一、实验目的
1.能了解BCD对七段显示器译码器电路的设计原理。
2.能利用VHDL语言设计一个BCD对七段显示器译码器电路。
3.能自行以FPGA/CPLD实验系统验证所设计的正确性。
二、实验内容及要求
1. 用VHDL语言设计一个BCD对七段显示器译码器电路,并下载到实验板进行验证。
2.要求:输入信号为四位,并按照BCD码的值进行显示。(例如:0000显示为0,而1111显示为F)。
三、实验器材
1.软件:Altera公司的Quartus || 软件。
2.芯片:Altera公司的EP2C8T144C8。
3.开发平台:掌宇公司的CIC-310智能型可编程数字开发系统。
四、实验步骤
1.建立名为 bcd_decoder 的工程文件,并建立 VHDL 文本编辑文件在编辑环境中编写 VHDL 程序。程序代码如下所示:
library ieee;
use ieee.std_logic_11.all;
entity bcd_decoder is
port(i:in std_logic_vector(3 downto 0);
y:out std_logic_vector(7 downto 0));
end;
architecture one of bcd_decoder is
begin
process(i)
begin
case i is
when"0000"=>y<="11111100";
when"0001"=>y<="01100000";
when"0010"=>y<="11011010";
when"0011"=>y<="11110010";
when"0100"=>y<="01100110";
when"0101"=>y<="10110110";
when"0110"=>y<="10111110";
when"0111"=>y<="11100000";
when"1000"=>y<="11111110";
when"1001"=>y<="11110110";
when"1010"=>y<="11101110";
when"1011"=>y<="00111110";
when"1100"=>y<="10011100";
when"1101"=>y<="01111010";
when"1110"=>y<="10011110";
when"1111"=>y<="10001110";
when others=>y<="11111111";
end case;
end process;
end;
2.保存文件,并检查和编译。
3.建立波形文件,进行功能仿真后波形如下图所示。
4.测试电路功能,并完成下表
输入i【3…0】 | 输出字型 | 输入i【3…0】 | 输出字型 |
0000 | 1000 | ||
0001 | 1001 | ||
0010 | 1010 | ||
0011 | 1011 | ||
0100 | 1100 | ||
0101 | 1101 | ||
0110 | 1110 | ||
0111 | 1111 |