最新文章专题视频专题问答1问答10问答100问答1000问答2000关键字专题1关键字专题50关键字专题500关键字专题1500TAG最新视频文章推荐1 推荐3 推荐5 推荐7 推荐9 推荐11 推荐13 推荐15 推荐17 推荐19 推荐21 推荐23 推荐25 推荐27 推荐29 推荐31 推荐33 推荐35 推荐37视频文章20视频文章30视频文章40视频文章50视频文章60 视频文章70视频文章80视频文章90视频文章100视频文章120视频文章140 视频2关键字专题关键字专题tag2tag3文章专题文章专题2文章索引1文章索引2文章索引3文章索引4文章索引5123456789101112131415文章专题3
当前位置: 首页 - 正文

路灯控制器课程设计(1)

来源:动视网 责编:小OO 时间:2025-09-29 23:13:59
文档

路灯控制器课程设计(1)

题目:路灯控制器电路设计指导教师:学生姓名:完成时间:目录摘要(3)Abstract(3)1.1路灯控制简介(4)1.2路灯控特点(4)1.3选题依据(5)1.4设计目标及内容(5)2.1选题背景及要求(6)2.2指导思想(6)2.3方案原理(7)3.电路的设计和分析(9)3.1电路的原理框图(9)3.2多谐震荡电路的设计与分析(10)4.电路的调试与分析(15)4.1调试使用的仪器(16)4.2电路的调试(16)5.电路仿真(17)6.总结(18)5.1设计体会(18)5.2改进提高(19)
推荐度:
导读题目:路灯控制器电路设计指导教师:学生姓名:完成时间:目录摘要(3)Abstract(3)1.1路灯控制简介(4)1.2路灯控特点(4)1.3选题依据(5)1.4设计目标及内容(5)2.1选题背景及要求(6)2.2指导思想(6)2.3方案原理(7)3.电路的设计和分析(9)3.1电路的原理框图(9)3.2多谐震荡电路的设计与分析(10)4.电路的调试与分析(15)4.1调试使用的仪器(16)4.2电路的调试(16)5.电路仿真(17)6.总结(18)5.1设计体会(18)5.2改进提高(19)
题目:路灯控制器电路设计指导教师:

学生姓名:

完成时间:目录

摘要 (3)

Abstract (3)

1.1路灯控制简介 (4)

1.2路灯控特点 (4)

1.3选题依据 (5)

1.4设计目标及内容 (5)

2.1选题背景及要求 (6)

2.2指导思想 (6)

2.3方案原理 (7)

3.电路的设计和分析 (9)

3.1电路的原理框图 (9)

3.2多谐震荡电路的设计与分析 (10)

4.电路的调试与分析 (15)

4.1调试使用的仪器 (16)

4.2电路的调试 (16)

5 .电路仿真 (17)

6.总结 (18)

5.1设计体会 (18)

5.2改进提高 (19)

附录1元器件清单 (20)

附录2电路的原理图 (21)

参考文献 (23)

2摘要

在当今社会中,人们已习惯于早出晚归,所以路灯的控制成为一个需要考虑的问题。本课程设计的任务就是设计一个路灯控制器,要实现的功能是当处于暗环境下(晚上)能够自动开灯(发光二极管亮),当处于亮环境下(白天)能够自动关灯(发光二极管灭);能自动记录“路灯”的开灯次数(用1位数码管显示);能累计“路灯”开灯时间(用2位数码管显示)。该电路的设计主要分为三个模块:路灯的控制、显示灯亮的持续时间、显示灯亮的次数,每个模块会分别用到不同的集成芯片(其中包括NE555、74LS48、74LS160)和一些常用的电子元件(光敏开光,电阻,电容,滑动变阻器等)。

关键词:路灯控制;74LS160;74LS48;555定时器

Abstract

In modern society,people have been used to work hard. So the control of

the streetlight becomes a problem which is needed to be thought about. The course designing is to design a streetlight controlling. The function excepted to be achieved is that when in dark environments it can automatically turn on, when in the bright environments can automatically turn off. It can record the number of the lighting. It can also add up the time of the lighting. It divides into 3 parts: the control of the streetlight ;record the number; add up the time. Of course , different part use different integration chip (includingNE555、74LS48、74LS160 ) and some electronic components ( electric resistance, electric capacity and so on).

Keywords:the control of the streetlight; 74LS160;74LS48;NE5551.1 路灯控制器简介

随着社会的发展,城市人口的不断增加,城市建设规模的扩大化。为完善城市的基础设施建设和谐、安全的城市人居环境、美化城市,路灯控制器的设计要求不断提高。现在市场上生产路灯控制器的生产厂家众多,控制器功能齐全,智能化程度比较高,路灯控制器的类型也层也不穷。例如,路灯太阳能控制器、智能路灯节能控制柜、路灯节电控制柜、路灯节能电器等一系列的路灯控制器。路灯控制器集电磁技术、智能化控制技术、数据控制技术于一体,在可控和平缓的方式下智能调节,路灯控制器实现公共照明系统的工作电流与亮度需求的理想结合,达到节电和优化供电目的,路灯控制器节能率可高达20%-40%,对用电系统的保护作用可使其寿命延长3-4倍。路灯控制器主要采用优质、高性能元器件,且极少运用活动的元器件,保证了极高的产品工作安全性,因而确保为用户单位提供更安全、可靠和更优性能的产品服务。路灯控制器现有两种类型,室内型:安装在室内照明控制柜下端;户外型:可按照用户要求进行安装,放置在不锈钢的机柜里。其中光控型路灯控制器广泛应用于城市建设,光控型路灯控制器都开启和关闭都是通过采集自然光强弱的变化转化成电压电流的变化控制路灯的亮灭,具有自动控制的功能,能最大效率的节约电能而且在恰当时候开启,给行人提供方便。

1.2 路灯控制器特点及应用

现代路灯控制器具有的特点:采用先进的微处理芯片,高可靠性、误差小、低成本、稳定性强,具有断电数据保存,时钟不间断工作,无需更换电池,维持时钟运行十年以上;采用数码管准确显示路灯一次连续开启的时间和路灯总共的开启次数;抗干扰能力强,能抵御从电网直接输入幅值达250伏的干扰脉冲;大功率继电器输出,可接220伏或380伏接触器,控制稳定,使用寿命长,体积小,安装简单。

路灯控制器广泛应用于道路、高速公路、桥梁、隧道、园林、码头、观光景灯、广告灯箱等公共照明环境;路灯控制器适用的灯具类型:高压钠灯、低压钠灯、金属卤化物灯、高压汞灯、荧光灯等所有气体放电式照明灯具。

1.3 选题依据

路灯控制器的设计与制作从理论意义来说可以将本人所学的知识系统的联系起来形成一条主线,选择此题目可以复习模拟电子技术、数字电路技术、EDA技术、单片机技术、可编程逻辑器件等相关知识的作用;本次设计我采用模拟电路和软件编程相结合的方法完成本次设计的任务和要求,我们生活当中的消费类电子产品现在很多都是半智能或全智能化,而且实现电子产品智能化很多都是在程序为主要实现方法,例如,采用汇编程序,C程序,VHDL语言等编写程序,可以在很大程度上节约成本,提高产品的智能化程度。设计要求采集自然光照控制路灯的开启和停止,这不但能实现自动化控制而且还能合理利用电能,实现资源的优化配制,且对我本人而言设计难易程度适中。

从实际来说,路灯控制器在我们的生活中应用十分广泛,尤其在街道两旁,当我们在夜晚狂街时路灯发挥着具大的作用,为行人提供方便,同时也使城市的夜景别具特色,远望去到处是灯火辉煌,在现代生活中随着人民生活水平的提高,路灯控制器的设计要求和技术含量也不断提高,所以选择控制器的设计与制作可以培养本人的设计思维,调动学习积极性,实践过程中可以起到练习故障查找、处里能力,练习焊接技术。

1.4 设计目标和内容

利用模拟电路和现场可编程门阵列(FPGA)设计制作路灯控制器,开发平台对时、分、秒、分频、计数、动态扫描显示各模块进行编程和仿真验证,路灯控制器的设计与制作分两个部分:采光控制部分和计数(包括路灯当前一次的连续开启时间和路灯的开启次数的计数)显示输出部分。然后在将两部分整合在一起就完成路灯控制器的设计,通过采光、光电转换控制部分输入控制信号,计数显示输出部分就能正确显示当前的控制状态和输出状态。

又能自动点亮。开启和关断的日照光照度根据用户要求进行调节,可选用一个白炽灯或发光二极管作光源。

1 选题背景

1.1设计要求

1.1.1当日照光亮到一定程度时使灯自动熄灭,而日照光暗到一定程度时

1.1.2设计计时电路,用数码管显示路灯当前一次的连续开启时间。

1.1.3设计计数显示电路,统计路灯的开启次数。

1.2指导思想

本设计采用74LS160、74LS48、555等芯片来完成路灯亮暗控制与所需要的数字逻辑显示功能(在七段数码管上按规律显示特定的数字)。本设计具有逻辑清晰、设计巧妙等特点,能很好的符合课程设计的要求。

2 方案论证

2.1 方案一:模拟电路与数字电路组合

模拟电路部分包括直流稳压电源、光电转换、小信号放大、三极管开关电路,直流稳压电源又包括降压、整流、滤波、稳压四部分,即可得到直流稳定12V 电压;数字电路主要有秒肪冲、计数、译码七段数码管显示。利用模拟电路提供12V直流稳定电压,完成采光和小信号放大并产生控制信号控制继电器的吸合,三极管的开关产生脉冲信号和使能信号;数字电路负责显示路灯当前一次的连续开启时间和统计路灯的开启次数。

模拟电路部分用到的元件及作用:三极管9013用于小信号放大和开关,光敏电阻完成光电轮换,普通碳膜电阻根据三极管需要提供适当的偏置电压和隔离、保护作用,继电器在开关三极管的控制下用于接通和断开路灯回路。数字部分用到的元件:555定时器,74LS160计数器、74LS48译码器、七段显示数码管,其中555定时器产生秒脉冲,用于驱动74LS161计数,74LS48负责译码将74LS161计数的4位二进数译码成7位二进制以驱动七段数码管正常工作。

模拟电路与数字电路组合总体看来具有设计思路清晰、价格成本低、稳定性高、易现实,但电路结构相对复杂,需要购买许多电子元件和集成块,整体电路调试困难,设计框图如图2-1所示:

2.2 方案二:模拟电路与可编程逻辑器件(FPGA)组合

方案二中模拟电路部分和方案一完全相同,在此不在赘述,重点介绍FPGA 器件的设计部分,目前FPGA主要有ALTERA公司的ACEX、MAX系列、XILINX的_4系列等,其中ALTERA公司的ACEX系列FPGA在教学中用得较多;FPGA采用了逻辑单元阵列LCA内部包括可配置逻辑模块CLB、输出输入模块IOB和内部连线三个部分。FPGA的编程无须专用的FPGA 编程器,只须用通用的EPROM、PROM 编程器即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。

在本次设计中FPGA采用的是ALTERA公司MAX II系列EPM240T100C5N开发板,开发板内部是人为将实际需要的电路功能用VHDL语言语法描述出来,然后能过下载线将程序下载到芯片内部,程序的主要任务是负责完成路灯当前一次的连续开启时间的记录和统计路灯的开启次数,完成这一功能将是由不同功能的程序模块组合在一起。在满足芯片正常工作的外部件后,当控制端的使能信号来时芯片执行内部程序并将执行程序的结果从芯片的输出端口输出,驱动后序电路工作。

方案二除模拟电路和方案一相同外还具有自身的特点:此方案采用EPM240T100C5N开发板代替方案一中的数电路部分使整体设计简单化,同时要求设计人员要有一定的实际功能分析,将功能程序化和VHDL程序编写能力,对设计人员的技术要求比方案一的高,使电路具有比较好的稳定性和灵敏度,缺点是

2.3方案说明

本设计主要是通过光敏电阻通过对外界的光线的强弱的感应来控制555的高低电平输出,从而控制路灯的开或关。为了使计时与计数电路同步启动,555的输出接计时电路的使能端,计数电路的脉冲端。脉冲的产生是用555接成一个频率为1HZ的多谐振荡器,用共阴极的七段数码管做显示电路。

当光照减弱时,光敏电阻阻值增大,555的2、6端口出现低电平,当它到达一定值时,3口出现高电平,且大于2/3VCC,路灯亮。反之,当光照增强到一定时,光敏电阻阻值减小,3口出现低电平,小于1/3VCC,路灯熄灭。为了避免外部干扰所带来的错误反应(例如来往的车灯给光敏电阻带来的短暂激励),我们利用电容充电带来的时间延迟来解决问题。经以上论证,方案可行。

3 电路的设计与分析

3.1电路的原理框图

图3-1 电路的原理框图

3.2.1 多谐震荡电路的设计与分析

555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用

双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而

其输出可与 TTL、CMOS 或者模拟电路电平兼容。

555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以

实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。

它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制

等方面。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为 2VCC /3,A2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置0,使输出为 0电平。555定时器主要是与电阻电容构成充放电电路,并由两个比较器来检测电容上的电压以确定输出电压的高低和放电开关管的通断,可构成单稳态触发器、多谐振荡器、施密特触发器等脉冲产生电路。

该器件的电源电压为4.5V---18V,驱动电流也较大,并能提供与ttl, MOS 电路相兼容的逻辑电平。555定时器可以构成多谐振荡器。自激多谐振荡器用于产生连续的脉冲信号图3-2所示为自激多谐振荡器电路和波形图。电路采用电阻、电容组成RC定时电路,用于设定脉冲的周期和宽度。调节RW或电容C,得到不同的时间常数;还可产生周期和脉宽可变的方波输出。

脉冲宽度计算公式:t≈0.7 (R1+RW+R2) C

振荡周期计算公式:T≈0.7 (R1+RW+2R2) C

经过计算可知R1 可为47k,R2为47k。

图3-2 多谐震荡电路3.2.2译码显示电路的设计与分析

数码管按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元(多一个小数点显示);按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。当某一字段的阴极为高电平时,相应字段就不亮。共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND 上,当某一字段发光二极管的阳极为高电平时,相应字段就会被点亮。

该设计中选用的是七段数码管如图3-3所示,为共阴极的,当电路正常工作时,数码管上会按照设计要求显示路灯持续工作的时间与工作的次数。

3-3半导体数码管

计数器用来产生十进制计数,其输出信号加在译码器输入端,经译码后可在输出端产生所需的控制信号。本电路中译码驱动器采用74LS48。

74LS48具有锁存、译码、消隐功能,通常以反相器作输出级,通常用以驱动LED。各引脚的名称:其中7、1、2、6分别表示A、B、C、D;5、4、3分别表示

LE、BI、LT;13、12、11、10、9、15、14分别表示a、b、c、d、e、f、g。左边的引脚表示输入,右边表示输出,还有两个引脚8、16分别表示的是VDD、VSS。

74LS48引脚图如下:

图3-474LS48引脚图

表3-1译码显示电路设计表

输入输出

LE BI LI D C B A a b c d e f g显示X X0X X X X11111118 X01X X X X0000000消隐011000011111100 011000101100001 011001011011012 011001111110013 011010001100114 011010110110115 011011000111116 011011111100007 011100011111118 011100111100119 01110100000000消隐01110110000000消隐01111000000000消隐01111010000000消隐01111100000000消隐0111111000000消隐111X X X X锁存锁存

3.2.3计数电路的设计与分析

该部分用到了计数器74LS160共三个,第一个用于记录路灯亮的次数,为方便起见,使用一位数;第二和第三个用于累计灯亮的时间,使用十进制。

异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。

160的预置是同步的。当置入控制器/PE为低电平时,在CP上升沿作用下,输出端Q0-Q3与数据输入端P0-P3一致。对于54/74160,当CP由低至高跳变或跳变前,如果计数器控制端CEP、CET为高电平,则/PE应避免由低至高电平的跳变,而54/74LS160无此种。 160的计数是同步的,靠CP同时加在四个触发器上而实现的。当CEP、CET均为高电平时,在CP上升沿作用下Q0-Q3同时变化,从而消除了异步计数器中出现的计数尖峰。对于54/74LS160的CEP、CET跳变与CP无关。当计数溢出时,进位输出端(TC)输出一个高电平脉冲,其宽度为Q0的高电平部分。对于74LS160,在CP出现前,即使CEP、CET、/MR发生变化,电路的功能也不受影响。 74LS160外部管脚图如图3-5所示:

3-5 74LS160外部管脚图

160外部管脚引出端符号:TC 进位输出端CEP 计数控制端Q0-Q3 输出端CET 计数控制端CP 时钟输入端(上升沿有效)/MR 异步清除输入端(低电平有效)/PE 同步并行输入置数端(低电平有效)

74LS160内部电路图如图3-5所示

3-6 74LS160内部电路图4. 电路的调试与分析

4.1调试使用的仪器

4.5V直流电压源、万用表

4.2电路的调试

接上电源,三个数码管均显示0(一个是计数用的显示管,另两个作计时用),整个电路处于正常状态。(但未加分复位开关,第一个数码管不一定能复位为零。)

在暗处开启开关,稍作等待之后,发光二极管亮,数码管开始计数以及计时;然后不遮住光敏电阻(即闭合此开关),发光二极管灭,同时数码管停止计数,按下复位开关,数码管显示变为零。

电路的仿真

1 当白天时,回路没有接通,路灯不亮,仿真为2当夜晚时候,回路接通,路灯点亮,仿真为

5.总结

5.1设计体会

课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节。回顾起此次课程设计,使我感慨颇多,自从拿到题目到完成整个设计,从理论到实践,在这短短的十几天里,可以说学到了很多很多的东西,不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。

以前虽然自己亲手焊接过电路板元件,但这次在动手的过程中仍出现过很多问题,手也被烫到过,当然最重要的是学到了很多以前没学到的东西。所以,这次的实践就像这个烙印一样会永远留在我的记忆里。

在课程设计过程中,收获知识,提高能力的同时,我也学到了很多人生的哲理,懂得怎么样去制定计划,怎么样去实现这个计划,并掌握了在执行过程中怎么样去克服心理上的不良情绪。因此在以后的生活和学习的过程中,我一定会把课程设计的精神带到生活中,不畏艰难,勇往直前!

在本次毕业设计中我的设计题目是路灯控制器的设计与制作,我选择方案二即模拟电路与可编程逻辑器件组合完成本次设计的任务和要求,设计中也遇到不少的困难和疑惑,特别是在电路仿真和程序仿真。在此本人举两例加以说明:第一,在分频程序波形仿真时遇到很大困难,先首是在符合VHDL语言语法之下按照本人逻辑思维编写出程序并通过编译,本以为就万事大吉了,可在波形仿真结束后却不见波形出来,经过反复检查程序语法,改进设计思维,查找相关资料,修改软件参数等,历尽千幸万苦终于有了波形。第二,在三极管小信号放大电路设计中各元器件参数的计算,先首用论理公试按照设计要求计算出各元件的值,然后在Multisim7仿真软件中仿真,其仿真结果与理论计算值存在很大差异,经过调整软件参数,寻问老师等,最终使得仿真结果与理论值近似,在解决困难和疑惑中的艰辛难以用语言表达出来。

通过此次设计,我深深地感触到基础知识的重要性,任何细节都离不开基础知识的指导,设计中任何细节都不能忽略,它很可能就是导致你设计成败的关键。

设计需要有相关扎实的基础知识了,还要有一定的实际动手能力。此外,通过此次设计巩固和拓展我以前所学的知识,明白自己有许多方面的缺点和不足。这次设计给我最大的启示:态度决定高度,细节决定成败

由于本人的水平有限,毕业论文中存在缺点和不足之处,敬请老师和同学给以指证。

5.2改进提高

这次的设计中缺少一个分复位开关,用于在路灯不工作时将计数数码管熄灭并归零。可以手调归零,但最好加一个复位开关。

致谢

日月如梭,转眼就要告别我的大学生涯,闭上眼,3年的大学生活历历在目。3年前刚踏进校门的那一刻,我还是一个不知世故的懵懂少年,3年的大学生活,让我收获知识,收获成绩,更让我懂得了如何做人。

感谢我的父母,在我的成长和求学过程中,父母不仅在经济上承受着巨大的负担,心里更有思子之情的煎熬与望子成龙的期待,每次回到家时父母的欣喜之情和每次离家时父母依依不舍的情形与嘱咐,皆使我刻苦铭心。我的父母,你们幸苦了。

感谢马瑛老师,我很荣幸能得到秦老师的精心指导,使得我的毕业设计能按时、保质的完成,结束了我三年的大学生活。

感谢所有的老师,是你们传授我知识,给我帮助和鼓励,教会我如何做人、如何做事,谢谢你们,你们幸苦了。

感谢所有的同学,三年我们一起学习,平时各位同学的喜、怒、哀、乐都令我一生难忘,现在回想起来,认识各们同学都是我一生的荣幸,在未来的工作和生活中,我期望我们仍将一路同行。

最后,就让我们把这充实而又充满意义的3年放进回忆。眼前,一条新的道路已在脚下,抓住机会,放眼未来,让我们在社会的大浪中去磨练自己,实现自己的人生理想。

我再次深深感谢所有的人,祝你们身体健康,生活愉快。附录一元器件清单

表附-1 元器件清单

附录二电路的原理图

图附-1 电路原理

注:以上除了三个共阴极数码显示管以外,其他的器件引脚是连接电路过程中严格对应的引脚。为了让电路图更加直观而整齐,通过编辑其他的数码管来代替共阴数码管的原理图。所以在这里,数码管上的引脚并非真实引脚,只是一个形式化而已。故在焊接数码管时,一定要注意,不要按照图上引脚来焊接,而必须按照真实情况下共阴数码管的引脚来。

程序:

library ieee;

use ieee.std_logic_11.all;

use ieee.std_logic_unsigned.all;

entity fenpin is

port(

clk1k :in std_logic;

clk20H:buffer std_logic;

clk1H :out std_logic

);

end;

architecture feature of fenpin is

Signal count:std_logic_vector(5 downto 0);

signal count2:std_logic_vector(4 downto 0);

begin

process(clk1k,count)

begin

if clk1k'event and clk1k='1' then

count<=count+'1';

if count="110010" then clk20H<='1';

count<="000000";

else clk20H<='0';

end if;

end if;

end process;

process(clk20H)

begin

if clk20H'event and clk20H='1' then

count2<=count2+'1';

if count2="10100" then clk1h<='1'; count2<="00000"; else clk1h<='0';

end if;

end if;

end process;

end;

秒计数程序

(分计数、时计数计数原理一样在此只以秒计数程序为例)library ieee;

use ieee.std_logic_11.all;

use ieee.std_logic_unsigned.all;

entity miao is

port(en,clk1h:in std_logic;

miaojinwei:out std_logic;

miaogewei:out std_logic_vector(3 downto 0);

miaoshiwei:out std_logic_vector(3 downto 0)

);

end;

architecture functions of miao is

signal gewei:std_logic_vector(3 downto 0);

signal shiwei:std_logic_vector(3 downto 0);

begin

process(clk1h,en,gewei,shiwei)

begin

if en='0' then gewei<="0000"; shiwei<="0000";

elsif en='1' then

if clk1h'event and clk1h='1' then

gewei<=gewei+'1';

if gewei="1001" then shiwei<=shiwei+'1';

gewei<="0000";

if shiwei="0101" and gewei="1001" then

gewei<="0000";

shiwei<="0000";

miaojinwei<='1';

else miaojinwei<='0';end if;

end if;

end if;

end process;

miaogewei<=gewei;

miaoshiwei<=shiwei;

end;

统计路灯开启次数程序

library ieee;

use ieee.std_logic_11.all;

use ieee.std_logic_unsigned.all;

entity count is

port(

clk1h:in std_logic;

countge:out std_logic_vector(3 downto 0);

countshi:out std_logic_vector(3 downto 0)

);

end;

architecture functions of count is

signal count1:std_logic_vector(3 downto 0);

signal count2:std_logic_vector(3 downto 0);

begin

process(clk1h)

begin

if clk1h'event and clk1h='1' then count1<=count1+'1'; if count1="1001" then count2<=count2+'1';

count1<="0000";

if count2="1001" then count1<="0000";

count2<="0000";

end if;end if;

end process;

countge<=count1;

countshi<=count2;

end;

译码扫描程序

library ieee;

use ieee.std_logic_11.all;

use ieee.std_logic_unsigned.all;

entity saomiao is

port(

clk: in std_logic;

m1,m2,m3: in std_logic_vector(3 downto 0);

m4,m5,m6: in std_logic_vector(3 downto 0);

wei: out std_logic_vector(5 downto 0);

duanma: out std_logic_vector(6 downto 0)

);

end;

architecture functions of saomiao is

signal LEDweishu : std_logic_vector(2 downto 0); signal translate : std_logic_vector(3 downto 0); begin

process(clk,m1,m2,m3,m4,m5,m6,LEDweishu)

begin

if clk' event and clk='1' then

LEDweishu<=LEDweishu+'1'; if LEDweishu="101" then LEDweishu<="000"; end if;

end if;

case LEDweishu iswhen "000" => translate<=m1; wei<="111110";

when "001" => translate<=m2; wei<="111101";

when "010" => translate<=m3; wei<="111011";

when "011" => translate<=m4; wei<="110111";

when "100" => translate<=m5; wei<="101111";

when others=> translate<=m6; wei<="011111"; end case;

case translate is

when "0000" => duanma<="0000001";

when "0001" => duanma<="1001111";

when "0010" => duanma<="0010010";

when "0011" => duanma<="0000110";

when "0100" => duanma<="1001100";

when "0101" => duanma<="0100100";

when "0110" => duanma<="0100000";

when "0111" => duanma<="0001111";

when "1000" => duanma<="0000000";

when others => duanma<="0000100"; end case;

end process;

end;

文档

路灯控制器课程设计(1)

题目:路灯控制器电路设计指导教师:学生姓名:完成时间:目录摘要(3)Abstract(3)1.1路灯控制简介(4)1.2路灯控特点(4)1.3选题依据(5)1.4设计目标及内容(5)2.1选题背景及要求(6)2.2指导思想(6)2.3方案原理(7)3.电路的设计和分析(9)3.1电路的原理框图(9)3.2多谐震荡电路的设计与分析(10)4.电路的调试与分析(15)4.1调试使用的仪器(16)4.2电路的调试(16)5.电路仿真(17)6.总结(18)5.1设计体会(18)5.2改进提高(19)
推荐度:
  • 热门焦点

最新推荐

猜你喜欢

热门推荐

专题
Top